完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
使用Vivado 2015.4我生成了两个FIFO和一个Aurora Core。
我收到与Vivado自动生成的时序约束相关的严重警告。 由于我的FIFO在整个设计中被多次使用,我需要一种让Vivado正确处理这些约束的方法。 例如,我的一个严重警告如下所示。 消息显示'get_property'至少需要一个有效端点。 set_max_delay -from [get_cells inst_fifo_gen / gconvfifo.rf / grf.rf / gntv_or_sync_fifo.gcx.clkx / rd_pntr_gc_reg ] -to [get_cells inst_fifo_gen / gconvfifo.rf / grf.rf / gntv_or_sync_fifo.gcx.clkx / gsync_stage .wr_stg_inst / Q_reg_reg ] -datapath_only [get_property -min PERIOD $ rd_clock] 我可以通过打开Implemented设计并找到违规路径来解决这个问题。 但我真的希望Vivado能够正确地找到并应用约束。 有任何想法吗? 以上来自于谷歌翻译 以下为原文 Using Vivado 2015.4 I generated two FIFOs and one Aurora Core. I'm getting Critical Warning related to the automatically generated timing constraints produced by Vivado. Since my FIFOs are used multiple times throughout the design I need a way for Vivado to process these constraints properly. As an example, one of my Critical Warnings is shown below. The message says the 'get_property' expects at least one valid endpoint. set_max_delay -from [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/rd_pntr_gc_reg] -to [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/gsync_stage.wr_stg_inst/Q_reg_reg] -datapath_only [get_property -min PERIOD $rd_clock] I could probably fix this myself by opening the Implemented design and finding the offending path. But I really want Vivado to find and apply the constraints properly. Any Ideas? |
|
相关推荐
5个回答
|
|
所有:
通过尝试各种方法,我能够解决大多数问题。 感谢所有试图提供帮助的人。 中号----- 在原帖中查看解决方案 以上来自于谷歌翻译 以下为原文 All: By trying various method I was able to solve the majority of the issues. Thanks to all who tried to help. M----- View solution in original post |
|
|
|
您是否可能在读取端缺少时钟定义?
Avi Chami MScFPGA网站 以上来自于谷歌翻译 以下为原文 Is it possible that you are missing a definition for the clock on the read side? Avi Chami MSc FPGA Site |
|
|
|
你好@ marvinscheinbart
请查看此ARhttps://www.xilinx.com/support/answers/66666.html 您需要在驱动FIFO IP读写时钟输入引脚的端口上写入时钟约束。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) 以上来自于谷歌翻译 以下为原文 Hi @marvinscheinbart Check this AR https://www.xilinx.com/support/answers/66666.html You need to write clock constraints on ports driving FIFO IP read and write clock input pins. Thanks, Deepika. -------------------------------------------------------------------------------------------- Google your question before posting. If someone's post answers your question, mark the post as answer with "Accept as solution". If you see a particularly good and informative post, consider giving it Kudos (the star on the left) |
|
|
|
所有:
我按照建议修复了时钟的定义,并修复了我所有的严重警告。 如果没有修复由Vivado自动生成的所有约束警告。 例如,我的Aurora核心有以下警告: [约束18-401] set_false_path:'aurora_core / aurora_module_i / aurora_sim_i / inst / aurora_sim_aurora_lane_4byte_0_i / aurora_sim_hotplug_i / rx_cc_cdc_sync / s_level_out_d1_cdc_to_reg / C'不是有效的端点。 [ “C:/Xilinx/Rover/Rover.srcs/aurora_sim_example/aurora_sim_example.srcs/sources_1/ip/aurora_sim/aurora_sim_clocks.xdc”:57] Vivado产生的约束是: set_false_path -to [get_pins -hier * cdc_to *] 我打开了Implemented设计并调出了原理图指向我的警告。 我将时钟追溯到它的源(clk125_i),它在我的XDC文件中定义为: create_clock -period 8.000 -name clk125_i -waveform {0.000 4.0000} [get_pins dbg_pll_i / inst / clk_out3] 我还执行了'report_clocks'命令,我所有时钟的定义似乎都得到了适当的限制(至少我可以说)。 修复我的Aurora约束的任何建议? 以上来自于谷歌翻译 以下为原文 All: I fixed the definition of the clocks as was suggested and that fixed all of my critical warning. If did not fix all of my constraint warning that were auto-generated by Vivado. For example, my Aurora core has the following warning: [Constraints 18-401] set_false_path: 'aurora_core/aurora_module_i/aurora_sim_i/inst/aurora_sim_aurora_lane_4byte_0_i/aurora_sim_hotplug_i/rx_cc_cdc_sync/s_level_out_d1_cdc_to_reg/C' is not a valid endpoint. ["c:/Xilinx/Rover/Rover.srcs/aurora_sim_example/aurora_sim_example.srcs/sources_1/ip/aurora_sim/aurora_sim_clocks.xdc":57] The constraint generated by Vivado is: set_false_path -to [get_pins -hier *cdc_to*] I opened the Implemented design and called up the schematic pointed to my this warning. I traced the clock back to its source (clk125_i) and it's defined in my XDC file as: create_clock -period 8.000 -name clk125_i -waveform {0.000 4.0000} [get_pins dbg_pll_i/inst/clk_out3] I also performed a 'report_clocks' command and the definition for all my clocks seem to be properly constrained (as least as far as I can tell). Any suggestions in fixing my Aurora constraints? |
|
|
|
所有:
通过尝试各种方法,我能够解决大多数问题。 感谢所有试图提供帮助的人。 中号----- 以上来自于谷歌翻译 以下为原文 All: By trying various method I was able to solve the majority of the issues. Thanks to all who tried to help. M----- |
|
|
|
只有小组成员才能发言,加入小组>>
2279 浏览 7 评论
2687 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2173 浏览 9 评论
3246 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2315 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
572浏览 1评论
1642浏览 1评论
134浏览 1评论
在使用xc5vsx95T时JTAG扫片不成功,测量TDO无信号输出
2292浏览 0评论
606浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-8-20 06:07 , Processed in 1.054939 second(s), Total 53, Slave 47 queries .
Powered by 电子发烧友网
© 2015 www.ws-dc.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号